ОГЛАВЛЕНИЕ
ПРЕДИСЛОВИЕ 3
1. ОБЗОР ПРОДУКЦИИ ФИРМЫ XILINX® 5
1.1. ВВЕДЕНИЕ 5
1.2. КРАТКАЯ КЛАССИФИКАЦИЯ СОВРЕМЕННЫХ МИКРОСХЕМ
ФИРМЫ XILINX 9
1.2.1. Серия Virtex 9
1.2.2. Серия Spartan 23
1.2.3. Серия XC9500 29
1.2.4. Серия CoolRunner 34
1.3. КОНФИГУРАЦИОННЫЕ ПЗУ XILINX 40
1.3.1. Серия Platform Flash 40
1.3.2. Серия XC1700 42
1.3.3. Серия XC18V00 44
1.3.4. Совместимость с ПЛИС FPGA 45
1.4. ИНСТРУМЕНТАЛЬНЫЕ СРЕДСТВА НА ПЛИС 47
1.5. КРАТКИЙ ОБЗОР ОСНОВНЫХ СРЕДСТВ ПРОЕКТИРОВАНИЯ ФИРМЫ XILINX 47
2. ПЛИС FPGA СЕМЕЙСТВА VIRTEX™ 54
2.1. АРХИТЕКТУРА VIRTEX 55
2.1.1. Быстродействие 55
2.2. ОПИСАНИЕ АРХИТЕКТУРЫ 56
2.2.1. Матрица Virtex 56
2.2.2. Блок ввода-вывода 58
2.2.3. Конфигурируемый логический блок 63
2.2.4. Программируемая трассировочная матрица 68
2.2.5. Распределение сигналов синхронизации 71
2.2.6. Периферийное сканирование 73
2.3. КОНФИГУРИРОВАНИЕ КРИСТАЛЛА В УСТРОЙСТВЕ 78
2.3.1. Режимы конфигурирования 78
2.3.2. Последовательность конфигурации 89
2.3.3. Формат потока конфигурационных данных 91
2.4. ОБРАТНОЕ СЧИТЫВАНИЕ 91
2.5. ХАРАКТЕРИСТИКИ ПЛИС СЕМЕЙСТВА VIRTEX
ПО ПОСТОЯННОМУ ТОКУ 92
2.6. КОРПУСА 93
2.7. ОБОЗНАЧЕНИЕ ПЛИС СЕМЕЙСТВА VIRTEX 93
3. ПЛИС FPGA СЕМЕЙСТВА SPARTAN™-II 95
3.1. ОСОБЕННОСТИ 95
3.2. ОБЗОР АРХИТЕКТУРЫ СЕМЕЙСТВА SPARTAN-II 97
3.2.1. Быстродействие 98
3.3. ОПИСАНИЕ АРХИТЕКТУРЫ 98
3.3.1. Матрица Spartan-II 98
3.3.2. Блок ввода-вывода 100
3.3.3. Конфигурируемый логический блок 106
3.3.4. Программируемая трассировочная матрица 110
3.3.5. Распределение сигналов синхронизации 113
3.3.6. Периферийное сканирование 115
3.4. КОНФИГУРИРОВАНИЕ КРИСТАЛЛА В УСТРОЙСТВЕ 119
3.4.1. Режимы конфигурирования 120
3.4.2. Сигналы конфигурации 121
3.4.3. Последовательность конфигурации 121
3.4.4. Последовательные режимы конфигурации 126
3.4.5. Подчинeнный параллельный режим (Slave Parallel) 131
3.4.6. Использование режима периферийного сканирования для конфигурирования Spartan-II 136
3.5. ОБРАТНОЕ СЧИТЫВАНИЕ 137
3.6. ХАРАКТЕРИСТИКИ ПЛИС СЕМЕЙСТВА SPARTAN-II ПО ПОСТОЯННОМУ ТОКУ 137
3.7. КОРПУСА 138
3.8. ОБОЗНАЧЕНИЕ МИКРОСХЕМ 138
4. ПЛИС FPGA СЕМЕЙСТВА SPARTAN™-3 140
4.1. ОБЗОР АРХИТЕКТУРНЫХ ОСОБЕННОСТЕЙ 143
4.2. БЛОК ВВОДА-ВЫВОДА 145
4.2.1. Регистровые элементы 146
4.2.2. Передача данных с удвоенной скоростью 148
4.2.3. Pull-up и pull-down резисторы 149
4.2.4. Цепь удержания последнего состояния 150
4.2.5. Защита от повреждения электростатическим
разрядом 150
4.2.6. Контроль скорости переключения и мощности выходного сигнала 150
4.2.7. Периферийное сканирование 151
4.2.8. Технология SelectI/O – поддержка стандартов
ввода-вывода 151
4.2.9. Цифровое управление импедансом – DCI (Digitally Controlled Impedance) 153
4.2.10. Банки ввода-вывода 157
4.2.11. Совместимость ПЛИС Spartan-3 в одинаковых типах корпусов 159
4.2.12. Контакты ввода-вывода в момент включения системы, загрузки конфигурации и в штатном режиме 159
4.3. КОНФИГУРИРУЕМЫЕ ЛОГИЧЕСКИЕ БЛОКИ 160
4.4. БЛОЧНАЯ ПАМЯТЬ (BLOCK RAM) 161
4.4.1. Расположение на кристалле 163
4.4.2. Внутренняя структура 163
4.4.3. Описание сигналов 164
4.4.4. Соотношение разрядностей шин данных и адреса 165
4.4.5. Функционирование 165
4.5. БЛОКИ УМНОЖИТЕЛЕЙ 167
4.6. ЦИФРОВОЙ БЛОК УПРАВЛЕНИЯ СИНХРОНИЗАЦИЕЙ – DCM (DIGITAL CLOCK MANAGER) 168
4.6.1. Модуль DLL 169
4.6.2. Модуль DFS 177
4.6.3. Модуль PS 179
4.6.4. Модуль SL 182
4.7. ГЛОБАЛЬНАЯ СЕТЬ ТАКТОВЫХ ЛИНИЙ 183
4.8. ЛОКАЛЬНЫЕ ЛИНИИ СВЯЗИ 184
4.9. КОНФИГУРИРОВАНИЕ ПЛИС 185
4.9.1. Стандартный интерфейс конфигурирования 187
4.9.2. Интерфейс конфигурирования, совместимый с 3,3-В сигналами 187
4.9.3. Режимы конфигурирования 187
4.10. ВРЕМЕННЫЕ ПАРАМЕТРЫ И ПАРАМЕТРЫ СЕМЕЙСТВА
SPARTAN-3 ПО ПОСТОЯННОМУ ТОКУ 196
4.11. ОБОЗНАЧЕНИЕ МИКРОСХЕМ 197
5. ПЛИС CPLD СЕМЕЙСТВА XC9500 199
5.1. ОСОБЕННОСТИ СЕМЕЙСТВА 199
5.2. ОБЗОР СЕМЕЙСТВА 200
5.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500 201
5.3.1. Функциональный блок 202
5.3.2. Макроячейка 203
5.3.3. Распределитель термов 205
5.3.4. Быстродействующая переключающая матрица 208
5.3.5. Блок ввода-вывода 209
5.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ 212
5.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ 212
5.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1 212
5.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ 213
5.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ 214
5.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ 214
5.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ 217
5.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ 218
5.12. ТЕХНОЛОГИЯ ПРОИЗВОДСТВА 218
5.13. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500
ПО ПОСТОЯННОМУ ТОКУ 218
5.14. МИКРОСХЕМА XC9536 219
5.14.1. Описание 219
5.14.2. Потребление тока 220
5.14.3. Динамические параметры 220
5.14.4. Параметры временной модели 222
5.14.5. Корпуса 223
5.14.6. Обозначение микросхем 223
5.15. МИКРОСХЕМА XC9572 224
5.15.1. Описание 224
5.15.2. Потребление тока 224
5.15.3. Динамические параметры микросхем 225
5.15.4. Параметры временной модели 226
5.15.5. Корпуса 227
5.15.6. Обозначение микросхем 227
5.16. МИКРОСХЕМА XC95108 228
5.16.1. Описание 228
5.16.2. Потребление тока 228
5.16.3. Динамические параметры микросхем 228
5.16.4. Параметры временной модели 229
5.16.5. Корпуса 230
5.16.6. Обозначение микросхем 231
5.17. МИКРОСХЕМА XC95144 232
5.17.1. Описание 232
5.17.2. Потребление тока 232
5.17.3. Динамические параметры микросхем 232
5.17.4. Параметры временной модели 233
5.17.5. Корпуса 234
5.17.6. Обозначение микросхем 235
5.18. МИКРОСХЕМА XC95216 236
5.18.1. Описание 236
5.18.2. Потребление тока 236
5.18.3. Динамические параметры микросхем 236
5.18.4. Параметры временной модели 237
5.18.5. Корпуса 238
5.18.6. Обозначение микросхем 240
5.19. МИКРОСХЕМА XC95288 240
5.19.1. Описание 240
5.19.2. Потребление тока 240
5.19.3. Динамические параметры микросхем 241
5.19.4. Параметры временной модели 242
5.19.5. Корпуса 243
5.19.6. Обозначение микросхем 244
6. ПЛИС CPLD СЕМЕЙСТВА XC9500XL 245
6.1. ОСОБЕННОСТИ 245
6.2. ОБЗОР СЕМЕЙСТВА 246
6.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500XL 247
6.3.1. Функциональный блок 248
6.3.2. Макроячейка 249
6.3.3. Распределитель термов 251
6.3.4. Быстродействующая переключающая матрица 254
6.3.5. Блок ввода-вывода 254
6.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ 258
6.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ 258
6.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1 259
6.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ 260
6.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ 260
6.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ 260
6.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ 263
6.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ 264
6.12. ТЕХНОЛОГИЯ ПРОИЗВОДСТВА 264
6.13. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500XL
ПО ПОСТОЯННОМУ ТОКУ 264
6.14. МИКРОСХЕМА XC9536XL 266
6.14.1. Описание 266
6.14.2. Потребление тока 266
6.14.3. Динамические параметры 267
6.14.4. Параметры временной модели 268
6.14.5. Корпуса 269
6.14.6. Обозначение микросхем 270
6.15. МИКРОСХЕМА XC9572XL 271
6.15.1. Описание 271
6.15.2. Потребление тока 271
6.15.3. Динамические параметры 272
6.15.4. Параметры временной модели 273
6.15.5. Корпуса 273
6.15.6. Обозначение микросхем 274
6.16. МИКРОСХЕМА XC95144XL 275
6.16.1. Описание 275
6.16.2. Потребление тока 275
6.16.3. Динамические параметры 276
6.16.4. Параметры временной модели 277
6.16.5. Корпуса 277
6.16.6. Обозначение микросхем 278
6.17. МИКРОСХЕМА XC95288XL 279
6.17.1. Описание 279
6.17.2. Потребление тока 279
6.17.3. Динамические параметры 280
6.17.4. Параметры временной модели 281
6.17.5. Корпуса 281
6.17.6. Обозначение микросхем 283
7. ПЛИС CPLD СЕМЕЙСТВА XC9500XV 284
7.1. ОСОБЕННОСТИ 284
7.2. ОБЗОР СЕМЕЙСТВА 285
7.3. ОПИСАНИЕ АРХИТЕКТУРЫ СЕМЕЙСТВА XC9500XV 286
7.3.1. Функциональный блок 287
7.3.2. Макроячейка 288
7.3.3. Распределитель термов 290
7.3.4. Быстродействующая переключающая матрица 293
7.3.5. Блок ввода-вывода 294
7.3.6. Банки ввода-вывода 297
7.4. ВОЗМОЖНОСТЬ ЗАКРЕПЛЕНИЯ КОНТАКТОВ 297
7.5. ПРОГРАММИРОВАНИЕ В СИСТЕМЕ 297
7.6. ПРОТОКОЛ ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ IEEE 1149.1 298
7.7. ЗАЩИТА ПРОЕКТА ОТ КОПИРОВАНИЯ 299
7.8. РЕЖИМ ПОНИЖЕННОГО ПОТРЕБЛЕНИЯ ЭНЕРГИИ 299
7.9. МОДЕЛЬ ЗАДЕРЖЕК РАСПРОСТРАНЕНИЯ СИГНАЛОВ 299
7.10. ХАРАКТЕРИСТИКИ ПРИ ВКЛЮЧЕНИИ ПИТАНИЯ 302
7.11. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРОЕКТИРОВАНИЯ 303
7.12. ХАРАКТЕРИСТИКИ СЕМЕЙСТВА XC9500XV
ПО ПОСТОЯННОМУ ТОКУ 303
7.13. МИКРОСХЕМА XC9536XV 305
7.13.1. Описание 305
7.13.2. Потребление тока 305
7.13.3. Динамические параметры 306
7.13.4. Параметры временной модели 307
7.13.5. Корпуса 308
7.13.6. Обозначение микросхем 309
7.14. МИКРОСХЕМА XC9572XV 310
7.14.1. Описание 310
7.14.2. Потребление тока 310
7.14.3. Динамические параметры 310
7.14.4. Параметры временной модели 312
7.14.5. Корпуса 313
7.14.6. Обозначение микросхем XC9572XV 314
7.15. МИКРОСХЕМА XC95144XV 315
7.15.1. Описание 315
7.15.2. Потребление тока 315
7.15.3. Динамические параметры 316
7.15.4. Параметры временной модели 317
7.15.5. Корпуса 319
7.15.6. Обозначение микросхем 319
7.16. МИКРОСХЕМА XC95288XV 320
7.16.1. Описание 320
7.16.2. Потребление тока 320
7.16.3. Динамические параметры микросхем 321
7.16.4. Параметры временной модели 322
7.16.5. Корпуса 323
7.16.6. Обозначение микросхем XC95288XV 324
8. ПЛИС CPLD СЕМЕЙСТВА COOLRUNNER XPLA3 326
8.1. ОСОБЕННОСТИ 326
8.2. ОБЩАЯ ХАРАКТЕРИСТИКА 328
8.3. АРХИТЕКТУРА 330
8.3.1. Структура функционального блока 331
8.3.2. Структура макроячейки 334
8.3.3. Ячейка ввода-вывода 335
8.4. ВРЕМЕННАЯ МОДЕЛЬ 336
8.5. ПРОГРАММИРОВАНИЕ И ПЕРИФЕРИЙНОЕ СКАНИРОВАНИЕ 338
8.5.1. JTAG-интерфейс 338
8.5.2. Контакт разрешения JTAG-порта 339
8.5.3. Команды периферийного сканирования, поддерживаемые ПЛИС семейства CoolRunner XPLA3 339
8.5.4. Программирование/перепрограммирование
в системе 340
8.6. ПРЕДЕЛЬНО ДОПУСТИМЫЕ И РЕКОМЕНДУЕМЫЕ РЕЖИМЫ ЭКСПЛУАТАЦИИ 342
9. ПЛИС CPLD СЕМЕЙСТВА COOLRUNNER-II 345
9.1. ОСОБЕННОСТИ 345
9.2. ОБЩАЯ ХАРАКТЕРИСТИКА 347
9.3. АРХИТЕКТУРА 352
9.3.1. Формирование тактовых сигналов с использованием встроенного делителя частоты 356
9.3.2. Применение методики CoolCLOCK 362
9.3.3. Реализация технологии DataGATE в ПЛИС семейства CoolRunner-II 364
9.3.4. Использование встроенного триггера Шмитта во входных цепях проектируемого устройства 366
9.3.5. Использование встроенного подтягивающего резистора pull-up в блоках ввода-вывода 367
9.3.6. Активизация схемы удержания последнего состояния Bus Hold 368
9.3.7. Программирование стандартов ввода-вывода для пользовательских контактов кристаллов 369
9.3.8. Формирование выходов с открытым стоком 371
9.4. ВРЕМЕННАЯ МОДЕЛЬ ПЛИС СЕМЕЙСТВА COOLRUNNER-II 371
9.5. ОРГАНИЗАЦИЯ ПРОГРАММИРОВАНИЯ И ПЕРИФЕРИЙНОГО СКАНИРОВАНИЯ КРИСТАЛЛОВ СЕМЕЙСТВА COOLRUNNER-II 373
9.6. ФУНКЦИОНИРОВАНИЕ ПРИ ВКЛЮЧЕНИИ НАПРЯЖЕНИЯ ПИТАНИЯ 375
9.7. ПРЕДЕЛЬНО ДОПУСТИМЫЕ И РЕКОМЕНДУЕМЫЕ РЕЖИМЫ ЭКСПЛУАТАЦИИ ПЛИС СЕМЕЙСТВА COOLRUNNER-II 378
9.8. СПЕЦИФИКАЦИЯ УРОВНЕЙ ВХОДНЫХ И ВЫХОДНЫХ СИГНАЛОВ 380
9.9. ДИНАМИЧЕСКИЕ ХАРАКТЕРИСТИКИ ВЫХОДНЫХ СИГНАЛОВ ДЛЯ РАЗЛИЧНЫХ СТАНДАРТОВ ВВОДА-ВЫВОДА 386
9.10. СИСТЕМА ОБОЗНАЧЕНИЙ И МАРКИРОВКА КРИСТАЛЛОВ СЕМЕЙСТВА COOLRUNNER-II 388
9.11. ДИНАМИЧЕСКИЕ ПАРАМЕТРЫ ПЛИС СЕМЕЙСТВА
COOLRUNNER-II 390
9.12. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C32 392
9.13. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C64 400
9.14. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C128 405
9.15. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C256 411
9.16. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C384 417
9.17. ОСНОВНЫЕ ХАРАКТЕРИСТИКИ ПЛИС XC2C512 424
ЛИТЕРАТУРА 430





ПРЕДИСЛОВИЕ

Программируемые логические интегральные схемы (ПЛИС) благодаря своим преимуществам являются наиболее перспективной элементной базой цифровой электроники. Фирма Xilinx®, используя достижения передовых технологий, выпускает семейства ПЛИС с различной архитектурой, которые позволяют создавать в сжатые сроки цифровые системы с различным уровнем сложности и степенью интеграции.
Данное издание представляет собой справочное руководство по ПЛИС фирмы Xilinx, рекомендуемым для широкого при¬менения.
Структура книги включает в себя 9 глав. В гл. 1 дается общая характеристика продукции фирмы Xilinx, рассматриваются основные особенности всех выпускаемых семейств ПЛИС и систем автоматизированного проектирования серии ISE™ (Integrated Software Environment). В гл. 2–4 приведено детальное описание основных семейств ПЛИС с архитектурой FPGA: Virtex™, Spartan™-II и Spartan-3 соответственно. Гл. 5–9 содержат подробную справочную информацию о кристаллах серий CPLD, которые рекомендованы для применения в новых разработках: XC9500, XC9500XL, XC9500XV, CoolRunner™ XPLA3 (eXtended Programmable Logic Array) и CoolRunner-II. В каждой из гл. 2–9 представлены отличительные особенности соответствующего семейства ПЛИС, подробное описание архитектуры, максимально допустимые и рекомендуемые режимы эксплуатации, значения основных временных, частотных и электрических параметров микросхем, информация о специальных контактах кристаллов и системе обозначений микросхем. Для ПЛИС семейств CPLD дополнительно приведены структура и параметры временной модели.
Справочное руководство не является полным техническим описанием микросхем, поэтому при практическом применении ПЛИС необходимо руководствоваться фирменной документацией, которую можно получить в электронном виде на web-сервере фирмы Xilinx по адресу http://www.xilinx.com. В связи с постоянным совершенствованием технологии производства ПЛИС эта докумен¬тация периодически обновляется. Поэтому перед использованием новой партии микросхем следует ознакомиться с последней версией соответствующей документации.
Авторы выражают огромную благодарность сотрудникам фирмы InlineGROUP М. Ю. Гетопанову, Г. И. Алексееву и Ю. В. Митякину за помощь в процессе работы над книгой, а также сотрудникам фирмы SET В. Г. Мистюкову и В. Д. Капитанову, высокотехнологичные разработки которых являются прекрасной иллюстрацией практической реализации обширных возможностей, предоставляемых ПЛИС фирмы Xilinx.